インテル® Agilex™ ハード・プロセッサー・システムのテクニカル・リファレンス・マニュアル

ID 683567
日付 1/19/2023
Public
ドキュメント目次

17.7.9.1. PPSでの単一パルスの生成

PPSで単一のパルスを生成するには、次の内容を実行します。†

  1. レジスター459 (PPS Control Register) のビット [6:5] (TRGTMODSEL) で11または10 (割り込み用) をプログラミングし、Target Timeレジスター (レジスター455および456) をPPS信号出力の開始時間に使用することをMACに指示します。†
  2. Target Timeレジスター (レジスター455および456) で開始時間の値をプログラミングします。†
  3. レジスター473 (PPS0 Width Register) でPPS信号出力の幅をプログラミングします。†
  4. レジスター459 (PPS Control Register) のビット [3:0] (PPSCMD) を0001にプログラミングし、Target Timeレジスター (レジスター455および456) にプログラムされている時間に、PPS信号出力で単一のパルスを生成するようにMACに指示します。†

PPSCMDの実行 (PPSCMDビット = 0) 後は、Cancel Start Command (PPSCMD = 0011) を発行することにより、プログラムされている開始時間の前にパルス生成をキャンセルすることができます。また、次のパルスの動作を事前にプログラミングすることも可能です。次のパルスをプログラミングするには、次の内容を実行します。†

  1. Target Timeレジスター (レジスター455および456) で次のパルスの開始時間をプログラミングします。この時間は、前のパルスの立ち下がりエッジが発生する時間を超えている必要があります。†
  2. 次のPPS信号出力の幅を、レジスター473 (PPS0 Width Register) でプログラミングします。†
  3. レジスター459 (PPS Control Register) のビット [3:0] (PPSCMD) をプログラミングし、前のパルスがデアサートされた時間後の、Target Timeレジスターにプログラムされている時間に、PPS信号出力で単一のパルスを生成します。前のパルスがLowになる前にこのコマンドが渡されると、新しいコマンドが前のコマンドを上書きし、EMACは1つの拡張したパルスのみを生成する可能性があります。