FタイルのアーキテクチャーとPMAおよびFEC Direct PHY IPのユーザーガイド

ID 683872
日付 1/24/2024
Public
ドキュメント目次

3.3.7. デザイン例の生成

F-Tile PMA/FEC Direct PHY Intel® FPGA IPのパラメーター・エディターには Generate Example Design の機能が含まれており、それによってPMA/FEC Directモードのデザイン例を容易に作成、生成、およびシミュレーションすることができます。

生成の際には、IPのパラメーター・エディターにおけるデザイン例のオプションで示すように、4つの Example Design Options から選択することができます。

図 70. IPのパラメーター・エディターにおけるデザイン例のオプション

デザイン例では、ターゲットデバイスに向けた生成、コンパイル、およびシミュレーションのフローをサポートします。 Quartus® Prime 開発ソフトウェアのバージョン22.1以降では、デザイン例に対するハードウェア・サポートが Agilex™ 7 Iシリーズ・トランシーバーSoC開発キットで有効になっています。現在は、次の Example Design Options を利用することができます。

表 37.  デザイン例の生成オプション
デザイン例のオプション 相当するプリセット設定 詳細
FHT NRZ 25G 1 PMA lane RSFEC 272/258 FHT_NRZ_25G_1_PMA_Lane_RSFEC_272_258_ED 1つのPMA FHT NRZレーン、25.78125Gbpsで動作、RS-FEC 272/258モードを使用
FGT NRZ 50G 2 PMA lanes RSFEC 528/514 FGT_NRZ_50G_2_PMA_Lanes_RSFEC_528_514_ED 2つのPMA FGT NRZレーン、25.78125Gbpsで動作 (各レーン)、RS-FEC 528/512モードを使用
FHT PAM4 4 400G 4 PMA lanes RSFEC 544/514 FHT_PAM4_400G_4_PMA_lanes_RSFEC_544_514_ED 4つのPMA FHT PAM4レーン、106.25Gbpsで動作 (各レーン)、RS-FEC 544/514モードを使用
FGT NRZ 50G 2 PMA Lanes Custom Cadence FGT_NRZ_50G_2_PMA_Lanes_Custom_Cadence_ED

2つのPMA FGT NRZレーン、25.78125Gbpsで動作 (各レーン)、カスタム拍のクロックモードを使用

カスタム拍のクロックモードでは、システムPLLでPMAのデジタル・データ・パス (つまり、Fタイル・インターフェイスFIFOとコア・インターフェイスFIFO) にクロックを提供します。PMAブロックと PMAインターフェイスFIFOは、PMAクロック出力によるクロックを使用します。

Example Design Options は、デザイン例の生成オプションで説明されているように、一部のプリセットの設定と同等です。各プリセットにおけるIPのパラメーター設定を確認するには、 F-Tile PMA/FEC Direct PHY Intel® FPGA IPで利用可能なパラメーターのプリセットを参照してください。または、IPのパラメーター・エディターでプリセットを右クリックし、Show Preset Settings をクリックします。もしくは、Apply preset をクリックし、パラメーター・エディターでプリセットの設定を適用します。

図 71. プリセットの設定の表示

4つの利用可能な Example Design Options のいずれかを選択し、その後GUIでF-Tile PMA/FEC Direct PHY Intel® FPGA IPの設定を変更しても、生成されるデザイン例は、変更後のF-Tile PMA/FEC Direct PHY Intel® FPGA IPの設定には従いません。デザイン例の生成では、デザイン例の生成オプションに記載されている Example Design Options のみが使用されます。F-Tile PMA/FEC Direct PHY Intel® FPGA IPの設定に対する変更は、デザイン例の生成時に適用されません。

次の図に示されているように、F-Tile PMA/FEC Direct PHY Intel® FPGA IPExample Design タブでは、事前に定義されているRS-FECオプションを選択し、デザイン例をコンフィグレーションすることができます。

図 72.  F-Tile PMA/FEC Direct PHY Intel® FPGA IPのRS-FECデザイン例
F-Tile PMA/FEC Direct PHY Intel® FPGA IPでは、次の3つのRS-FECデザイン例を利用することができます。
  • FHT NRZ 25G 1 PMA Lane RSFEC 272/25
  • FGT NRZ 50G 2 PMA Lanes RSFEC 528/514
  • FHT PAM4 4 400G 4 PMA Lanes RSFEC 544/514
これらのデザイン例は、次に示されているセクションで説明されているFEC Directモードのコンフィグレーション・オプションに従います。
デザイン例を生成するには、次の手順に従います。
  1. F-Tile PMA/FEC Direct PHY Intel® FPGA IPExample Design タブに移動します。
  2. ドロップダウン・メニューからデザイン例の1つを選択します。None を選択すると、デザイン例を生成することはできません。
  3. Acknowledgment: オプションのボックスをクリックします。このオプションは、ドロップダウン・メニューで指定しているデザイン例のみが生成されることを再確認するものです。IPの他のパラメーター設定を指定しても、デザイン例の生成では有効になりません。Acknowledgment ボックスをチェックしないと、デザイン例を生成することはできません。
  4. ステップ2とステップ3が行われていることを確認し、Generate Example Design をクリックします。

Generate Example Design をクリックすると、コンパイラーの IP GenerationSupport-logic Generation のステージが完了します。また、デザイン例のフォルダーが生成され、 Quartus® Primeのプロジェクト (.qpf) ファイル、設定 (.qsf) ファイル、IPファイル、デザイン例のシミュレーションおよびテストベンチのファイルが含まれます。このフォルダーは、次の位置にあります。

<Project Folder>/<directphy_f_0_example_design/example_design>

コンパイラーは、デザイン例の .qsf ファイルを読み取ります。これには、PMAのリファレンス・クロック、TXおよびRXの高速シリアルピン位置の割り当てが含まれます。

リアルタイムのシミュレーション時間を短縮するため、デザイン例のテストベンチでは、Fast Sim モデルを使用します。このモデルは、シミュレーション実行スクリプトのマクロを介して有効になります。Fast Sim モデルを有効にする構文は次のとおりです。

+define+IP7581SERDES_UX_SIMSPEED

このマクロは、Generate Example Design ボタンをクリック後に、デザイン例のシミュレーション・スクリプトでデフォルトで有効になります。

F-Tile PMA/FEC Direct PHY Intel® FPGA IPのデザインをシミュレーションする際は、Fast Sim モデルを使用してシミュレーション時間を短縮することができます。ただし、Fast Sim モデルを使用するには、デザインで同じFタイルに配置される IP のすべてが Fast Sim モードをサポートしている必要があります。例えば、PMA Directモードのデザインを Fast Sim モードをサポートしない他のプロトコルのIPとともに使用し、それらを同じFタイルに配置すると、PMA Directのデザインでシミュレーション・エラーが発生します。
注: このマクロは、FGTカスケードモード、FGTデュアル・シンプレックス・モード、またはFHT PMAを使用している場合は利用することができません。

デザイン例のシミュレーション

デザイン例のシミュレーションを VCS* VCS* MX ModelSim* 、または Xcelium* のシミュレーターで行うには、次のコマンドを使用します。RTLファイルは example_design/rtl ディレクトリーにあり、シミュレーション・ファイルは example_design/testbench ディレクトリーにあります。
  • VCS* でのシミュレーションには、example_design/testbench ディレクトリーに移動し、次のシェルスクリプトを使用してシミュレーションを起動します。
    sh run_vcs.sh
  • VCS* MX でのシミュレーションには、example_design/testbench ディレクトリーに移動し、次のシェルスクリプトを使用してシミュレーションを起動します。
    sh run_vcsmx.sh
  • ModelSim* でのシミュレーションには、example_design/testbench ディレクトリーに移動し、次のコマンドを使用してシミュレーションを起動します。
    vsim -c -do run_vsim.tcl
  • Xcelium* でのシミュレーションには、example_design/testbench ディレクトリーに移動し、次のシェルスクリプトを使用してシミュレーションを起動します。
    sh run_xcelium.sh
  • 波形ビューアーを起動して、シミュレーションの結果を確認します。
Quartus® Prime 開発ソフトウェアのバージョン21.3以降、デザイン例は、VHDLを VCS* MX ModelSim* のシミュレーターでサポートします。