FタイルのアーキテクチャーとPMAおよびFEC Direct PHY IPのユーザーガイド

ID 683872
日付 1/24/2024
Public
ドキュメント目次

3.3. IPのコンフィグレーション

Quartus® Prime プロ・エディションF-Tile PMA/FEC Direct PHY Intel® FPGA IPを使用し、プロトコルの実装に向けてPMA PHYをコンフィグレーションします。

IPをインスタンス化するには、次の手順に従います。

  1. ターゲットのデバイスファミリーを指定するには、Assignments > Device をクリックし、 Agilex™ 7 Fタイルデバイス (AGIB027R31B1E2V など) を選択します。
  2. Tools > IP Catalog をクリックし、検索フィールドに pma と入力します。その後、 F-Tile PMA/FEC Direct PHY Intel® FPGA IP (Interface Protocol 内) を選択します。IPのパラメーター・エディターが開きます。
  3. パラメーター・エディターで、パラメーターを指定して F-Tile PMA/FEC Direct PHY Intel® FPGA IPをプロトコルの実装に対してカスタマイズします。次のPMA使用モードのいずれかを選択します。パラメーター・エディターでは、パラメーター値の選択のガイドが提供されます。
    • FGTおよびFHTのPMA Directモード
    • FGTおよびFHTのFEC Directモード
  4. パラメーター化が完了したら、Generate ボタンをクリックし、Generate HDL ボタンをクリックします。IPバリエーションのRTLとサポートファイルが仕様に基づき生成され、 Quartus® Primeプロジェクトに追加されます。

IPのインスタンスで生成される最上位ファイルには、そのコンフィグレーションで利用可能なすべてのポートが含まれます。これらのポートを使用して、F-Tile PMA/FEC Direct PHY Intel® FPGA IPをデザインの他のIPコア (F-Tile Reference and System PLL Clocks Intel® FPGA IP、TXおよびRXのシリアル・データ・ピン、データ・ジェネレーターおよびデータチェッカーIPなど) に接続します。

このリリースでは、F-Tile PMA/FEC Direct PHY Intel® FPGA IPは次のシミュレーターのみをサポートします。

  • VCS*
  • ModelSim* SE
  • QuestaSim*
  • Xcelium*