インテル® Quartus® Primeプロ・エディション・ユーザーガイド: デバッグツール

ID 683819
日付 9/30/2019
Public
ドキュメント目次

2.1.2. Signal Tapロジック・アナライザーの機能と利点

機能 利点
クイック・アクセス・ツールバー ワンクリックで使用頻度の高いメニュー項目が操作できます。アイコンの上にマウスを重ねると、ツールヒントが表示されます。
1つのデバイス内で複数のロジック・アナライザーが使用可能 デザイン内の複数のクロックドメインのデータを同時にキャプチャできます。
1つのJTAGチェーン内の複数デバイスで、複数のロジック・アナライザーが使用可能 JTAGチェーン内の複数のデバイスからのデータを同時にキャプチャできます。
Nios® IIプラグインのサポート Nios® IIプロセッサーなどのIPに対するノード、トリガー、信号ニーモニックが指定できます。
アナライザー・インスタンスごとに最大10個の基本、比較またはAdvancedトリガー条件の設定が可能 複雑なデータ・キャプチャ・コマンドをロジック・アナライザーに送信できるため、精度の向上および問題の分離が実現します。
Power-upトリガー トリガーの信号データをキャプチャします。対象となるトリガーの発生タイミングは、デバイスのプログラミング後、かつ手動でのロジック・アナライザーの起動前です。
カスタムトリガーHDLオブジェクト 独自のトリガーのコーディングをVerilog HDLまたはVHDLで行い、デザイン階層内の任意の箇所にあるモジュールのインスタンスをタップすることができます。このとき、必要な接続をすべて手動配線する必要はありません。これにより、デザイン全体に広がるノードをタップするプロセスが簡素化されます。
State basedトリガーフロー トリガー条件を整理して、ロジック・アナライザーでキャプチャする内容を正確に定義することができます。
Rapid Recompileを使用したインクリメンタル配線 トリガー入力、データ入力、ストレージ・クオリフィケーション入力、およびノードカウントを手動で割り当て、フルコンパイルを実行して、Signal Tapロジック・アナライザーをデザインに含めます。次に、デザイン内の異なるノードへの接続、切断、および交換を選択的に実行します。Rapid Recompileを使用してインクリメンタル配線を実行すると、初回のフルコンパイルに比べて2倍から4倍高速化できます。
柔軟なバッファー取得モード バッファー取得制御を使用すると、取得バッファーに書き込まれるデータを正確に制御することができます。セグメント化バッファーとストレージ・クオリフィケーションのある非セグメント化バッファーの両方によって、デザインのデバッグに無関係のデータサンプルを破棄することができます。
MATLAB*と付属のMEX関数との統合 Signal Tapロジック・アナライザーによってMATLAB*整数行列にキャプチャされたデータを収集します。
ロジック・アナライザー・インスタンスあたり最大2,048チャネル 多数の信号およびワイドバス構造をサンプリングします。
インスタンスあたり最大128Kのサンプリング 各チャネルで大容量サンプルセットのキャプチャが可能です。
高速クロック周波数 データノードの同期サンプリングのため、テスト対象のロジックを駆動する同じクロックツリーを使用します。
リソース使用量の推定 Signal Tapロジック・アナライザー・コンフィグレーションで使用するロジックおよびメモリーデバイスのリソースを推定します。
追加コストが不要 インテル® Quartus® Primeサブスクリプションと インテル® Quartus® Primeライト・エディションにはSignal Tapロジック・アナライザーが含まれています。
他のオンチップ・デバッグ・ユーティリティーとの互換性 Signal Tapロジック・アナライザーをJTAGベースのオンチップ・デバッグ・ツール (In-System Memory Contentエディターなど) と連携して使用できます。リアルタイムで信号値を変更すると同時に、Signal Tapロジック・アナライザーで解析を実行できます。
浮動小数点表示フォーマット Edit > Bus Display Format > Floating-point

の順にクリックしてイネーブルします。次のフォーマットがサポートされています。

  • 単精度浮動小数点フォーマットIEEE754 Single (32-bit)
  • 倍精度浮動小数点フォーマットIEEE754 Double (64-bit)