インテル® Quartus® Primeプロ・エディション・ユーザーガイド: デバッグツール

ID 683819
日付 9/30/2019
Public
ドキュメント目次

2.14.1. Signal Tap コマンドライン・オプション

次のオプションは、 quartus_stp 実行ファイルで使用可能です。

表 16.  quartus_stpのコマンドライン・オプション
オプション 使用方法 説明
--stp_file <stp_filename> 必須 .stp ファイル名を指定します。
--enable 任意

プロジェクトの .qsf ファイルで ENABLE_SIGNALTAP オプションを ON に設定します。Signal Tapロジック・アナライザーは次のコンパイルで実行されます。

このオプションを省略した場合、 インテル® Quartus® Prime開発ソフトウェアでは、 .qsf ファイルの ENABLE_SIGNALTAP の現行値を使用します。

後続のSignal Tapアサインメントの書き込みは、 .qsf に表示される .stp に対して行います。 .qsf ファイルによる .stp ファイルの指定がない場合、 --stp_file オプションを使用してください。

--disable 任意

プロジェクトの .qsf ファイルで ENABLE_SIGNALTAP オプションを OFF に設定します。 Signal Tapロジック・アナライザーは次のコンパイルでは使用しません。

--disable オプションを省略すると、 インテル® Quartus® Prime開発ソフトウェアでは、.qsf ファイルの ENABLE_SIGNALTAP の現行値を使用します。