AN 886: Agilex™ 7 デバイスのデザイン・ガイドライン

ID 683634
日付 10/09/2023
Public
ドキュメント目次

7.4.1. タイミングの最適化と解析に推奨される割り当て

表 90.  タイミングの最適化と解析に推奨される割り当てに関するチェックリスト
番号 チェック欄 チェック項目
1   Settings ダイアログボックスの Fitter Settings ページで、Optimize multi-corner timing をオンにします。
2   create_clock および create_generated_clock を使用して、デザイン内のすべてのクロックの周波数と関係を指定します。
3   set_input_delay および set_output_delay を使用して、外部デバイスまたはボードのタイミング・パラメーターを指定します。
4   check_timing を使用して、デザインまたは適用された制約における問題 (制約不足を含む) のレポートを生成します。
5   非同期パスには、set_false_path または set_clock_groups を使用します。

上記の割り当てと設定は、 Agilex™ 7デバイスなどの大規模なデザインにおいて重要です。

Optimize multi-corner timing オプションをオンにすると、タイミング要件がすべてのタイミング・プロセス・コーナーおよび動作条件で満たされるようにデザインが最適化されます。したがって、このオプションをオンにすることは、PVTのばらつきに対してより堅牢なデザイン実装を作成するのに役立ちます。

タイミング・アナライザー .sdc 制約ファイルで、推奨される制約をデザインに適用します。