AN 886: Agilex™ 7 デバイスのデザイン・ガイドライン

ID 683634
日付 10/09/2023
Public
ドキュメント目次

5.2.2.1. FPGAピン割り当ての作成

表 28.  FPGAのピン割り当て作成時のチェックリスト
番号 チェック欄 チェック項目
1   Quartus® Prime Pin Plannerを使用してピンの割り当てを行います。
2   Quartus® Primeフィッターのメッセージおよびレポートを使用して、ピンの割り当てをサインオフします。
3   Quartus® Primeによるピンの割り当てが、回路図ツールおよびボード・レイアウト・ツールのピン割り当てと一致していることを確認します。
4   インターフェイス・プランナーを使用してインターフェイスおよびデバイス・ペリフェラルをプランニングします。デザインの合成後、インターフェイス・プランナーを使用して有効なデバイス・フロアプランを迅速に定義します。インターフェイス・プランナーを使用してのプランニングには、インターフェイス・プランナーの初期化、プロジェクト割り当ての調整、ペリフェラル要素とクロックの配置、 Quartus® Primeプロジェクトへのプランの制約のエクスポートなどが含まれます。

Quartus® Prime Pin PlannerのGUIを使用すると、I/Oバンク、VREF グループ、および差動ピンのペアを特定できるため、I/Oのプランニング・プロセスに役立ちます。Pin Plannerスプレッドシートのインターフェイスで右クリックし、Pin Finder をクリックして特定のピンを検索します。移行デバイスが選択されている場合、Pin Migrationビューは、現在選択しているデバイスと比較して、移行デバイスで機能が変化するピンを強調表示します。

デザインフローでスプレッドシートを通常使用している場合は、必要に応じてMicrosoft Excelスプレッドシートを Quartus® Prime開発ソフトウェアにインポートし、I/Oのプランニング・プロセスを開始することができます。また、I/Oの割り当てを含むスプレッドシート互換 (.csv) ファイルをすべてのピン割り当て完了後にエクスポートすることもできます。

Quartus® Prime開発ソフトウェアでデザインをコンパイルする際に、フィッターのI/O Assignment Analysisでは、割り当てがすべてのデバイス要件を満たしているかを検証します。問題がある場合はメッセージが生成されます。

Quartus® Primeを使用する設計者は、このピン位置情報をPCB設計者に渡すことができます。 Quartus® Prime開発ソフトウェアと回路図ツールおよびボード・レイアウト・ツールの間でピン割り当てを一致させることで、配置されたボード上でデザインが正しく機能することを保証します。これは特に、ピン配置を変更する必要がある場合に重要です。Pin Plannerは、特定のPCBデザインEDAツールと統合されているため、そのようなツールからピン位置の変更を読み取り、検討している変更を確認することができます。デザインをコンパイルすると、 Quartus® Prime開発ソフトウェアは .pin ファイルを生成します。このファイルを使用して、各ピンがボード回路図で正しく接続されているかを確認することができます。