AN 886: Agilex™ 7 デバイスのデザイン・ガイドライン

ID 683634
日付 10/09/2023
Public
ドキュメント目次

5.2.2.2. FPGAデバイスの早期ピン・プランニングとI/O割り当ての解析

表 29.  早期ピン・プランニングとI/O割り当て解析のチェックリスト
番号 チェック欄 チェック項目
1   Create Top-Level Design File コマンドをI/O Assignment Analysisで使用し、I/Oの割り当てをデザイン完成前にチェックします。
2   トランシーバーIPがスケルトンデザインでインスタンス化されていることを確認し、 Quartus® Primeプロ・エディションで規則チェックを実行できるようにします。

多くのデザイン環境においてFPGA設計者は、最上位のFPGA I/Oピンを早期にプランニングすることで、ボード設計者がPCBのデザインおよびレイアウト開発を開始できるようにしようとします。FPGAデバイスのI/O機能とボードレイアウトのガイドラインは、ピンの位置やその他の割り当てに影響します。ボードデザイン・チームがFPGAのピン配置を指定する場合は、FPGA配置配線ソフトウェアでピン位置をできるだけ早く確認し、ボードデザインの変更を回避することが重要です。

FPGAのピン・プランニングを早期に開始することにより、早期ボードレイアウトの信頼性が向上し、エラーの可能性が減少します。また、デザインの市場投入までの時間を短縮することができます。インテル FPGAの暫定的なピン配置は、 Quartus® Prime Pin Plannerを使用して、ソースコードをデザインする前に作成することができます。

デザインプロセスの早期段階においてシステム・アーキテクトは通常、標準I/Oインターフェイス (メモリーやバス・インターフェイスなど)、デザインで使用するIPコア、およびシステム要件によって定義されるI/O関連のその他の割り当てについての情報を持っています。

Pin PlannerのIPコア作成/インポート機能は、IPカタログとインターフェイスしているため、これを使用してI/Oインターフェイスを使用するカスタムIPコアの作成およびインポートを行うことができます。PLLおよびLVDS SERDESブロックを入力する際は、ダイナミック・フェーズ・アライメント (DPA) などのオプションも入力します。このオプションは、ピン配置規則に影響します。I/Oに関連する情報を可能な限り入力したら、最上位のデザイン・ネットリスト・ファイルを生成します。これには、Pin Plannerの Create Top-Level Design File コマンドを使用します。I/O解析結果を使用して、ピン割り当てやIPパラメーターを変更します。この確認プロセスは、I/Oインターフェイスがデザイン要件を満たし、 Quartus® Prime開発ソフトウェアでのピンチェックに合格するまで繰り返します。

プランニングが完了したら、暫定的なピン位置の情報をPCB設計者に渡すことができます。デザインが完成したら、 Quartus® Prime フィッターで生成されたレポートおよびメッセージを使用して、ピン割り当ての最終サインオフを行います。