外部メモリー・インターフェイス・ インテル® Agilex™ FPGA IPユーザーガイド

ID 683216
日付 12/14/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

6. インテルAgilex FPGA EMIF IP – DDR4のサポート

この章には、DDR4に対するインテルAgilex FPGA外部メモリー・インターフェイスIPのIPパラメーターの説明、ボードスキューの計算式、ピン・プランニングに関する情報、およびボードデザインのガイダンスが含まれています。