外部メモリー・インターフェイス・ インテル® Agilex™ FPGA IPユーザーガイド

ID 683216
日付 12/14/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

11.7.2.2. 複数の外部メモリー・インターフェイスを備えるデザイン例の作成

2つ以上の外部メモリー・インターフェイスを備えるデザイン例を作成するには、次の手順に従います。
  1. Example Designsタブで、デザイン例の外部メモリー・インターフェイスの数を指定します。
  2. Cal-IPを選択し、各インターフェイスとCalibration IP間の接続を指定します。
    注: 同じI/O行に配置されているインターフェイスが同じCal-IPに接続していることを確認します。
  3. 通常どおりにインターフェイスをパラメーター化します。
  4. インターフェイスを完全にパラメーター化後、Example Designsタブに戻り、希望するEMIF IDCaptureをクリックします。これにより、ステップ3で確立されたパラメーター設定がEMIF IPに備わります。
  5. すべてのEMIF IDで、ステップの2から4を繰り返します。EMIF IPに変更を加える場合は、Clearボタンをクリックしてキャプチャーしたパラメーターを削除し、ステップ2から4を繰り返します。
    図 78. 複数のEMIFを備えるデザイン例の生成

    図 79. すべてのEMIFのコンフィグレーションが完了した後のデザイン例の生成
  6. EMIF IPをすべてコンフィグレーションしたら、上の図で示されているとおり、ウィンドウの右上隅にあるGenerate Example Designをクリックしてデザイン例を生成します。
  7. すべてのEMIF IPにピンの割り当てを追加します。
  8. デザインをコンパイルします。
注: プラットフォーム・デザイナーを使用して複数のEMIFインスタンスを手動でデザインに追加することを選択した場合は、次の内容を行います。
  • デザイン例に生成されたed_synth.qsysファイルを開始点として使用し、必要な編集を行います。
  • 単一の.qsysファイルを使用し、デザイン内のすべての外部メモリー・インターフェイスの接続を作成します。キャリブレーション・デバッグ・ツールキットを使用するには、システムをフラットな階層にする必要があります。