外部メモリー・インターフェイス・ インテル® Agilex™ FPGA IPユーザーガイド

ID 683216
日付 12/14/2020
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

2.3. インテルAgilex EMIF IPのデザイン・チェックリスト

次のチェックリストを簡易的なリファレンスとして使用し、EMIFデザインフローの各段階における情報を確認します。

表 2.  EMIFのデザイン・チェックリスト
デザインにおける段階 説明 リソース
FPGAの選択 すべてのインテルFPGAがすべてのメモリータイプとコンフィグレーションをサポートしているわけではありません。FPGAの選択に関しては、右記のリソースを参照してください。
IPのパラメーター化 的確なIPのパラメーター化は、EMIF IPの適切な運用において重要です。右に示されているリソースでは、IP生成時におけるメモリーのパラメーターを定義しています。
初期のIPおよびデザイン例の生成 EMIF IPのパラメーター化を行うと、デザイン例 (オプション) とともにIPを生成することができます。このプロセスの詳細については、Quick-Start Guideを参照してください。
機能のシミュレーションの実行 EMIFデザインのシミュレーションは、適切なオペレーションを決定するのに役立ちます。右に示されているリソースは、シミュレーションの実行方法、およびシミュレーションとハードウェア実装における違いを説明しています。
ピンの割り当ての作成 ピンの配置に関するガイダンスについては、右に示されているリソースを参照してください。
ボード・シミュレーションの実行 ボードのシミュレーションは、シグナル・インテグリティー、ドライブ強度、および十分なタイミングマージンとアイ開口に対する最適な設定を決定するのに役立ちます。ボード・シミュレーションのガイダンスについては、右に示されているリソースを参照してください。
タイミング・クロージャーの検証 コンパイル、システムレベルのタイミング・クロージャー、およびタイミングレポートに関する情報については、このユーザーガイドの「タイミング・クロージャー」のセクションを参照してください。
ハードウェアでのデザインの実行 FPGAのプログラミング方法については、Design Example User GuideのQuick-Startセクションを参照してください。
これまでの段階における問題のデバッグ オペレーション上の問題は一般的に、インターフェイスのコンフィグレーション、ピンおよびリソースのプランニング、シグナル・インテグリティー、またはタイミングのいずれかに起因すると考えられます。右に記載されているリソースには、ハードウェアの問題の診断に役立つ一般的なデバッグ手順と利用可能なツールに関する情報が含まれています。