AN 763: インテル® Arria® 10 SoCデバイスのデザイン・ガイドライン

ID 683192
日付 8/14/2020
Public
ドキュメント目次

1.2. SoC FPGAデザインに向けたHPSのデザイン・ガイドラインの概要

表 2.  HPS: デザイン・ガイドラインの概要

HPSデザインフローの各段階

ガイドライン

リンク

ハードウェアとソフトウェアの分割

使用するシステムトポロジーを決定し、それをHPSとFPGA間のインターフェイス・デザインの開始点として使用します。

インテル Arria 10 HPSとFPGAのインターコネクト接続に関するガイドライン

HPSのピンの多重化とI/Oコンフィグレーションの設定

I/O多重化のオプション、FPGAおよびSDRAMへのインターフェイス、クロック、ペリフェラルの設定を含むHPSシステムのコンフィグレーション設定を計画します。

デバイスのI/OをHPSペリフェラルおよびメモリーに接続するためのデザインにおける考慮事項

HPSのクロックとリセットに関する考慮事項

HPSのクロックおよびコールドリセットまたはウォームリセットに関する考慮事項

HPSのクロックおよびリセットに関するデザインの考慮事項

HPS EMIFに関する考慮事項

HPS EMIFコントローラーの使用方法および関連する考慮事項

HPS EMIFに関するデザインの考慮事項

FPGAアクセラレーターに関するデザインの考慮事項

FPGAアクセラレーターとHPS間のコヒーレンシー管理に関するデザインの考慮事項

DMAに関する考慮事項