インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.4. PLL クロックの導出

Derive PLL Clocks (derive_pll_clocks) 制約は、デザイン内の PLL の各出力にクロックを自動的に作成します。derive_pll_clocks では、現在の PLL の設定を検出し、create_generated_clock コマンドを呼び出すことで、すべての PLL の出力で生成クロックを自動的に作成します。
注: インテル® Arria® 10 および インテル® Cyclone® 10 GX デバイスのみが Derive PLL Clocks (derive_pll_clocks) 制約をサポートします。サポートされている他のデバイスではすべて、タイミング・アナライザーは関連する IP に結び付けられている制約から PLL クロックを自動的に導出します。

PLL 入力クロックポートのベースクロックの作成

デザインにトランシーバー、LVDS トランスミッター、または LVDS レシーバーが含まれる場合は、derive_pll_clocks を使用してデザインのこのロジックを制約し、それらのブロックのタイミング例外を作成します。

create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk]
derive_pll_clocks

derive_pll_clocks コマンドは、.sdc ファイルで create_clock コマンドの後に含めます。タイミング・アナライザーが .sdc ファイルを読み取るたびに、適切な生成クロックが各 PLL 出力クロックピンに作成されます。derive_pll_clocks を実行する前に PLL 出力にクロックが存在する場合は、既存のクロックが優先され、自動生成クロックはその PLL 出力には作成されません。

次に、レジスター間パスを備えるシンプルな PLL デザインを示します。

図 102. シンプルな PLL デザイン

derive_pll_clocks コマンドを使用して PLL を制約すると、タイミング・アナライザーは次の例のようなメッセージを生成します。

derive_pll_clocks コマンドのメッセージ

Info:
Info: Deriving PLL Clocks:
Info: create_generated_clock -source pll_inst|altpll_component|pll|inclk[0] -
divide_by 2 -name
pll_inst|altpll_component|pll|clk[0] pll_inst|altpll_component|pll|clk[0]
Info:

PLL の入力クロックピンはノード pll_inst|altpll_component|pll|inclk[0] で、これは -source オプションです。 PLL の出力クロックの名前は、PLL 出力クロックノードの pll_inst|altpll_component|pll|clk[0] です。

PLL がクロック・スイッチオーバー・モードの場合は、複数のクロックが PLL の出力クロックに生成されます。1 つは一次入力クロック (inclk[0] など) で使用し、もう 1 つは二次入力クロック (inclk[1] など) で使用します。一次および二次出力クロックに排他的なクロックグループを作成します。これらのクロックは同時にアクティブになりません。