インテルのみ表示可能 — GUID: mwh1414613513009
Ixiasoft
インテルのみ表示可能 — GUID: mwh1414613513009
Ixiasoft
2.5.5. 制約とタイミングレポートの関連付け
次の図は、特定のパスで Report Timing を実行した際の結果を示しています。遅延のインクリメントは、Report Timing を実行後に Data Path および Waveform タブで確認することができます。Waveform タブでは、Data Path のデータと元の .sdc 制約を視覚的に参照することができます。Waveform タブを使用すると、制約がどのように適用されているか、およびその位置を簡単に確認することができます。
create_clock -name clocktwo -period 10.000 [get_ports {clk2}]
set_multicycle_path -from clocktwo -to clocktwo -setup -end 2 set_multicycle_path -from clocktwo -to clocktwo -hold -end 1
次の set_max_delay 制約を追加すると、セットアップ関係が明示的にオーバーライドされます。
set_max_delay -from [get_registers {regA}] -to \ [get_registers {regB}] 15
これらのさまざまな制約で変更されるのは、セットアップおよびホールド解析の起動エッジ時間とラッチエッジ時間のみであることに注意してください。他の項目はすべて、FPGA 内の遅延を元にしており、特定のフィットに対して静的です。これらのレポートを確認し、制約がタイミングレポートに与える影響を解析します。
I/O の場合は、次の例で示すように、set_input_delay および set_output_delay 制約を追加する必要があります。これらの制約は、デザインの I/O ポートに接続する FPGA デザイン外部からの信号の遅延を表します。
create_clock -period 10 [get_ports clk] # Clock used by the transfer, clock relationship is 10ns # Setup constraints set_output_delay -clock clk -max 1.2 [get_ports out] # Subtracted from Data Required Path as oExt set_max_delay -from [get_registers B] 12 # Sets latch edge time # Hold constraints set_output_delay -clock clk -min 2.3 [get_ports out] # Subtracted from Data Required Path as oExt set_min_delay -from [get_registers B] 8 # Sets latch edge time
これらの制約の値は、外部レジスターとデザインのポート間の外部信号の遅延です。set_input_delay および set_output_delay の -clock 引数は、外部信号が属するクロックドメイン、つまり I/O ポートに接続された外部レジスターのクロックドメインを指定します。-min および -max オプションは、ワーストケースまたはベストケースの遅延を指定します。オプションをいずれも指定しない場合は、ワーストケースとベストケースの遅延が等しくなります。I/O 遅延は、Type 列で iExt または oExt として表示されます。次のレポート例を参照してください。
クロック関係は、転送の起動クロックエッジとラッチ・クロック・エッジの差であり、クロック波形、マルチサイクル制約、最小および最大遅延制約によって決まります。また、タイミング・アナライザーは、set_output_delay の値を oExt の値として追加します。この値は解析部分の外にあるため、出力では、これは Data Required Path の一部となります。セットアップ・レポートでは、-max の値が減算され、セットアップ関係を満たすのが難しくなります。ここでは、Data Arrival Path の遅延は Data Required Path の遅延よりも短くなければなりません。タイミング・アナライザーは、-min の値も減算します。この減算が、負の値によってホールドのタイミングがより厳しくなる理由です。この場合、Data Arrival Path の遅延は Data Required Path の遅延よりも長くなければなりません。