インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.6.1. 入力の制約 (set_input_delay)

入力の制約により、FPGA に供給されるすべての外部信号の遅延を指定します。デザインのすべての入力ポートに入力要件を指定します。
set_input_delay -clock { clock } -clock_fall -fall -max 20 foo

Set Input Delay (set_input_delay) 制約を使用して、外部入力遅延要件を指定します。Clock name (-clock) を指定し、仮想クロックまたは実際のクロックを参照します。クロックを指定すると、タイミング・アナライザーでクロック間転送およびクロック内転送のクロックの不確実性を正しく導出することができます。クロックは、入力ポートの起動クロックを定義します。デバイス内のクロックはすべて定義されているため、タイミング・アナライザーは、入力データをキャプチャーするデバイス内のラッチクロックを自動的に決定します。

図 105. 入力遅延
図 106. 入力遅延の計算

デザインにパーティション境界ポートが含まれている場合は、set_input_delay とともに -blackbox オプションを使用して、入力遅延を割り当てることができます。-blackbox オプションは、境界ポートと同じ名前の新しいキーパー・タイミング・ノードを作成します。この新しいノードは、元の境界ポートを介してのタイミングパスの伝播を許可し、set_input_delay 制約として機能します。get_keepers コマンドを使用すると、新しいキーパー・タイミング・ノードが表示されます。ブラックボックス制約は、remove_input_delay -blackbox で削除することができます。