インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.4. エンティティーに結び付けられた SDC ファイルの使用

インテル® Quartus® Primeプロ・エディションタイミング・アナライザーは、プロジェクトの特定のデザイン・エンティティー (モジュール) への Synopsys Design Constraints (.sdc) ファイルの割り当てをサポートします。

通常、.sdc ファイルで指定するタイミング制約は、特定のデザイン・エンティティーではなく、プロジェクト全体に適用されます。ただし、Properties ダイアログボックスまたは SDC_ENTITY_FILE 割り当てを使用して、.sdc ファイルをデザイン・エンティティーに結び付けることができます。エンティティー縛りの制約により、タイミング制約がより移植しやすくなり、よりターゲットを絞った制約を指定することができます。

  • タイミング制約の移植性 - 割り当てられたエンティティーを含むデザイン・パーティションにはすべて、エンティティーに結び付けられた .sdc 制約が自動的に含まれます。オプションで、エクスポートするパーティションとともにこれらの制約のエクスポートを指定することができます。それには、Include entity-bound SDC files (--include_sdc_entity_in_partition) オプションを使用します。これにより、合成済みまたは最終的なデザインブロック、または IP をタイミング制約付きのパッケージでハンドオフすることが可能です。
  • タイミング制約の精度 - タイミング制約をグローバルではなく特定のエンティティーにのみ適用し、制約の入力を単純にします。この方法により、必要以上に適用される、グローバル制約の意図しない副作用を回避します。特に、ワイルドカード (*) のタイミング制約を使用する場合が当てはまります。デフォルトでは、エンティティーに結び付けられた .sdc 制約は、プロジェクト内の割り当てられたエンティティーのすべてのインスタンスに自動的に適用されます。または、デフォルトですべての制約をグローバルに適用し、get_current_instance コマンドを使用して、現在のエンティティーのみをターゲットとする制約を選択することができます。詳細は、エンティティーに結び付けられた制約のスコープ で説明しています。

次の手順に従い、エンティティーに結び付けられた .sdc ファイルを作成または変更します。

  1. .sdc ファイルを作成し、Project > Add/Remove files in project をクリックして .sdc ファイルを追加します。.sdc ファイルは Files リストに表示されます。
  2. Files リストで .sdc ファイルを選択し、Properties ボタンをクリックします。
  3. Type には、Synopsys Design Constraints File with entity binding を選択します。
    図 94. エンティティーの再バインド
  4. Entity には、.sdc に結び付けるエンティティーを選択します。
  5. OK をクリックします。

次の割り当てを .qsf に追加し、指定したエンティティーを指定した .sdc ファイルに結び付けることも可能です。

QSF の割り当て構文

set_instance_assignment -entity <entity_name> -name \
    SDC_ENTITY_FILE <sdc_file_name> [-no_sdc_promotion] \
    [–no_auto_inst_discovery]

SDC_ENTITY_FILE 割り当てでは、参照されるエンティティーの -library 引数に一致する -library パラメーターを指定する必要があります。ライブラリーを指定しない場合、ソフトウェアはデフォルトの altera_work ライブラリーを使用します。

SDC_ENTITY_FILE を適用するには、エンティティーとライブラリーの両方がターゲットの RTL モジュールと一致する必要があります。

SDC_ENTITY_FILE 割り当てには、次のオプションが適用されます。

  • オプションなし - 自動制約スコープを有効にします。タイミング・アナライザーは、結び付けられているインスタンスごとにエンティティーの .sdc ファイルを1回読み込みます。get_current_instance では、値が返されます。コレクション・フィルター (クロックフィルターおよび最上位ポートフィルターを除く) の先頭には、現在のインスタンスの階層パス (つまり、get_current_instance の戻り値) が付加されます。
  • -no_sdc_promotion - 手動昇格をオンにします。タイミング・アナライザーは、結び付けられているインスタンスごとにエンティティーの .sdc ファイルを1回読み込みます。get_current_instance では、値が返されます。タイミング・アナライザーは、コレクション・フィルターを変更しません。
  • -no_sdc_promotion –no_auto_inst_discovery - 制約スコープをオフにします。タイミング・アナライザーは、各エンティティーの .sdc ファイルを1回読み込みます。コレクション・フィルターは変更されません。get_current_instance では、空の文字列が返されます。