インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

1.2.5. マルチサイクル・パス解析

マルチサイクル・パスは、適切な解析を行う際にデフォルトのセットアップまたはホールド関係に例外を必要とするデータパスです。例えば、クロックエッジごとにキャプチャーを必要とする (デフォルト解析) のではなく、2 つ目または 3 つ目の立ち上がりクロックエッジごとにデータのキャプチャーを必要とするレジスター (マルチサイクル例外) などを指します。

マルチサイクル・パスは、乗算器の入力レジスターと、1 クロックエッジおきにデータをラッチする送信先の出力レジスターの間に発生しています。

図 19. マルチサイクル・パス

レジスター間のパスは、デフォルトのセットアップとホールドの関係を表します。また、送信元クロックと送信先クロックのそれぞれのタイミング図、およびデフォルトのセットアップとホールドの関係では、送信元クロック src_clk の周期が 10ns、送信先クロック dst_clk の周期が 5ns です。デフォルトのセットアップ関係は 5ns、デフォルトのホールド関係は 0ns です。

図 20. レジスター間パスとデフォルトのセットアップおよびホールドのタイミング図

システム要件に対応する場合に、デフォルトのセットアップとホールドの関係は、レジスター間のパスにマルチサイクル・タイミング制約を指定することにより変更可能です。

図 21. レジスター間パス

この例外のマルチサイクル・セットアップ割り当ては 2 であり、2 番目に発生するラッチエッジを使用します。この例では、デフォルト値の 5ns から 10ns になります。

図 22. 変更後のセットアップ図