インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.8.3. 最小遅延と最大遅延

パスの絶対最小遅延または最大遅延を指定するにはそれぞれ、Set Minimum Delay (set_min_delay) または Set Maximum Delay (set_max_delay) 制約を使用します。 最小遅延と最大遅延を指定すると、既存のセットアップおよびホールドの関係が最小値と最大値で直接上書きされます。

set_max_delay および set_min_delay 制約は、デザイン内に特定のクロック関係がない一方で、最小および最大パス遅延が必要な非同期信号に使用します。最小遅延および最大遅延の例外は、パスのレジスターステージなしで、デバイスを通るポート間パスに作成することができます。最小遅延例外および最大遅延例外を使用してパスの遅延を制約する場合は、パスの最小遅延と最大遅延の両方を指定します。最小値または最大値のみの制約はしないでください。

送信元ノードまたは送信先ノードにクロックが供給されている場合、タイミング・アナライザーはクロックパスを考慮するため、データパスでの多少の遅延が許容されます。送信元または送信先ノードに入力または出力遅延がある場合、最小または最大遅延のチェックにはその遅延も含まれます。

タイミングノード間の最小または最大遅延を指定すると、遅延は 2 つのノード間のパスにのみ適用されます。クロックに最小または最大遅延を指定すると、送信元ノードまたは送信先ノードにそのクロックが提供されているすべてのパスに遅延が適用されます。

最小遅延または最大遅延の例外は、出力遅延制約のない出力ポートに作成することができます。その出力ポートに関連するパスのタイミング・レポートを取得することはできません。ただし、タイミング・アナライザーは、セットアップおよびホールドの概要レポートでパスのスラックを報告します。出力ポートに関連するクロックがないため、タイミング・アナライザーはその出力ポートのタイミングパスにクロックを報告しません。

注: クロックフィルターを使用して最小遅延および最大遅延制約のある出力パスのタイミング・レポートを取得する場合は、出力ポートの出力遅延を 0 の値で設定することができます。デザインの既存のクロックまたは仮想クロックをクロック・リファレンスとして使用することができます。