インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.2.1. I/O インターフェイスの不確実性の指定

仮想クロックは、デザインのクロックトポロジーを最も正確に表すため、I/O 制約に推奨されます。 別の利点として、外部 I/O ポートとインターフェイスするクロックと、FPGA 内のレジスター間パスに供給するクロックに、異なる不確実性値を指定できることがあります。