インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.3. 反復する制約の変更

.sdc 制約を繰り返し変更し、タイミング結果を再解析することで、デザインが完全に制約されることを保証します。
  1. Tools > Timing Analyzer をクリックします。
  2. 解析するレポートを生成します。Macros にある Report All Summaries をダブルクリックし、セットアップ、ホールド、リカバリー、およびリムーバルの要約、サポートされているレポートの要約、およびデザインで定義されているすべてのクロックのリストを生成します。これらの概要レポートは、デザインで制約しているすべてのパスを網羅します。制約を変更または修正する場合はかならず、Constraint Diagnostic レポートを生成し、デザインで制約されていない部分または無視されている制約を特定します。
  3. レポートの結果を解析します。制約を変更する場合は、レポートを再実行することで予期しない結果を特定します。例えば、クロスドメイン・パスは、クロックグループにクロックを含めて転送の切断をしていないことを示している場合があります。
  4. .sdc ファイルで適切な制約を作成または編集し、ファイルを保存します。
  5. Tasks ペインの Reset Design をダブルクリックします。これにより、デザインからすべての制約が削除されます。デザインからすべての制約を削除すると、変更を含む .sdc ファイルを再度読み込むことができます。
  6. 解析するレポートを再生成します。
  7. 結果を再解析します。
  8. 必要に応じてステップ 4 から 7 を繰り返します。

この方法では、ロジック配置を変更することなく、新しい制約を使用してタイミング解析を実行します。フィッターは配置配線に元の制約を使用しますが、タイミング・アナライザーは新しい制約を適用します。新しい制約に対して不合格になるタイミングがある場合は、配置配線を再度実行します。