インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.1.3. クロックの不確実性の導出 (derive_clock_uncertainty)

Derive Clock Uncertainty (derive_clock_uncertainty) 制約では、デザインのクロック間転送のセットアップおよびホールドのクロックの不確実性を適用します。この不確実性は、PLL のジッター、クロックツリーのジッター、およびその他の不確実性要因などの特性を表すものです。

Add clock uncertainty assignment (-add) を有効にして、任意の Set Clock Uncertainty (set_clock_uncertainty) 制約からクロックの不確実性の値を追加することができます。Overwrite existing clock uncertainty assignments (-overwrite) は、任意の set_clock_uncertainty 制約により上書きすることができます。

create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk] \
derive_clock_uncertainty -add - overwrite

.sdc ファイルから derive_clock_uncertainty を省略すると、タイミング・アナライザーは情報メッセージを生成します。