インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.8.5.6. 送信元クロック周波数の倍数になる送信先クロック周波数 (オフセットあり)

この例は、前の 2 つの例を組み合わせたものです。送信先クロックの周波数は送信元クロックの周波数の整数倍で、送信先クロックに正の位相シフトがあります。送信先クロックの周波数は 5ns、送信元クロックの周波数は 10ns です。また、送信先クロックには、送信元クロックに対して 2ns の正のオフセットがあります。送信先クロックの周波数は、送信元クロックの周波数の整数倍にすることができます。 PLL で両方のクロックを生成し、送信先クロックに位相シフトがある場合は、送信先クロックの周波数でオフセットを使用することができます。

次の例のデザインでは、送信先クロックの周波数が送信元クロックの周波数の倍数で、オフセットがある場合を示しています。

図 143. 送信元クロックの倍数である送信先クロック (オフセットあり)

次のタイミング図は、タイミング・アナライザーが実行するデフォルトのセットアップ・チェック解析のものです。

図 144. セットアップのタイミング図
図 145. セットアップ・チェックの計算

この例のセットアップ関係は、データのキャプチャーがエッジ 1 ではなく、エッジ 3 で必要なことを示しています。したがって、セットアップ要件を緩和することができます。デフォルトの解析を調整するには、ラッチエッジを 2 クロック周期シフトし、エンド・マルチサイクル・セットアップ例外を 3 で指定します。

マルチサイクル例外により、この例のデフォルトの解析を調整します。

マルチサイクル制約

set_multicycle_path -from [get_clocks clk_src] -to [get_clocks clk_dst] \
     -setup -end 3

次の図は、この例に推奨されるセットアップ関係のタイミング図です。

図 146. 推奨されるセットアップ解析

次のタイミング図は、タイミング・アナライザーが実行するデフォルトのホールドチェック解析を示しています。エンド・マルチサイクル・セットアップの値は 3 です。

図 147. デフォルトのホールドチェック
図 148. ホールドチェックの計算

この例において、ホールドチェック 1 は過剰に制約されています。データは 0ns のエッジで起動しており、2ns の前のラッチエッジでキャプチャーされたデータに対して確認する必要があります。マルチサイクル・ホールド割り当てを 1 で使用し、これを是正することができます。