インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.8.4. マルチサイクル・パス

デフォルトでは、タイミング・アナライザーはシングルサイクル解析を実行します。これは制約が最も厳しい解析です。マルチサイクル制約なしでパスを解析する場合、タイミング・アナライザーはセットアップの起動エッジ時間とラッチエッジ時間を決定する際に、それぞれの波形で最も近い 2 つのアクティブエッジを特定します。
図 109. デフォルトのセットアップとホールのド関係 (マルチサイクルなし)

ホールド時間の解析では、タイミング・アナライザーはワーストケースのセットアップ関係だけでなく、考えられるすべてのセットアップ関係に対して 2 つのタイミング条件でパスを解析します。したがって、ホールドの起動時間とラッチ時間は、セットアップの起動エッジとラッチエッジと無関係な場合があります。

マルチサイクル制約は、送信元 (-start) または送信先 (-end) のクロックに基づき、指定したクロックサイクル数でこのデフォルトのセットアップまたはホールドの関係を調整します。2 のセットアップ・マルチサイクル制約は、ワーストケースのセットアップ・ラッチ・エッジを送信先のクロックで 1 周期分延長します。-start および -end 値を指定しない場合、デフォルトの制約は -end です。

図 110. セットアップとホールドの関係 (マルチサイクル = 2)

ホールドのマルチサイクル制約は、デフォルトのホールド位置から派生します (デフォルト値は 0)。エンド・ホールド・マルチサイクル制約が 1 の場合は、送信先のクロックで 1 周期分がデフォルトのホールド・ラッチ・エッジから実質的に減算されます。

オブジェクトがタイミングノードの場合、マルチサイクル制約は 2 つのノード間のパスにのみ適用されます。オブジェクトがクロックの場合は、送信元ノード (-from) または送信先ノード (-to) にそのクロックが提供されているすべてのパスにマルチサイクル制約が適用されます。マルチサイクル制約でセットアップ関係を調整すると、デフォルトのホールド関係は自動的に調整されます。

タイミング制約を使用して起動エッジ時間またはラッチエッジ時間を変更すると、タイミング・アナライザーでは、その時間を使用してセットアップ関係またはホールド関係を特定します。

表 31.  マルチサイクル制約
コマンド 変更の対象
set_multicycle_path -setup -end <value> セットアップ関係のラッチエッジ時間
set_multicycle_path -setup -start<value> セットアップ関係の起動エッジ時間
set_multicycle_path -hold -end <value> ホールド関係のラッチエッジ時間
set_multicycle_path -hold -start <value> ホールド関係の起動エッジ時間