インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.8.5.4. 同じ周波数のクロック (送信先クロックのオフセットあり)

この例では、送信元クロックと送信先クロックの周波数は同じですが、送信先のクロックが正の位相シフトでオフセットされています。送信元クロックと送信先クロックの周期はどちらも 10ns です。送信先のクロックには、送信元のクロックに対して 2ns の正の位相シフトがあります。

次に示す例では、同じ周波数のクロックを使用するデザインで、送信先のクロックをオフセットしています。

図 130. 同じ周波数のクロックで送信先のクロックにオフセットがある場合

次のタイミング図は、タイミング・アナライザーが実行するデフォルトのセットアップ・チェック解析を示しています。

図 131. セットアップのタイミング図
図 132. セットアップ・チェックの計算

示されているセットアップ関係は過剰に悲観的で、一般的なデザインに必要なセットアップ関係ではありません。デフォルトの解析を調整するには、エンド・マルチサイクル・セットアップ例外を 2 で割り当てます。次に示すマルチサイクル例外では、デフォルトの解析を調整します。

マルチサイクル制約

set_multicycle_path -from [get_clocks clk_src] -to [get_clocks clk_dst] \
     -setup -end 2

次のタイミング図は、この例の推奨セットアップ関係を示しています。

図 133. 推奨されるセットアップ関係

次のタイミング図は、タイミング・アナライザーが実行するデフォルトのホールドチェック解析を示しています。エンド・マルチサイクル・セットアップの値 は2 です。

図 134. デフォルトのホールドチェック
図 135. ホールドチェックの計算

この例では、デフォルトのホールド解析により良好なホールド要件が返されます。マルチサイクル・ホールド例外は必要ありません。

位相シフトが –2ns の場合の関連するセットアップおよびホールド解析です。この例では、2ns の負の位相シフトに対してデフォルトのホールド解析が適切です。マルチサイクル例外は必要ありません。

図 136. 負の位相シフト次の図は、-2ns の負の位相シフトに対するセットアップとホールド解析の例を示しています。この例では、デフォルトのセットアップとホールド解析が適切で、マルチサイクル例外は必要ありません。