インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.7.2. コレクション・コマンド

タイミング・アナライザーは、コレクション・コマンドをサポートしています。このコマンドでは、デザインのポート、ピン、セル、またはノードに簡単にアクセスすることができます。コレクション・コマンドは、タイミング・アナライザーで指定する任意の制約または Tcl コマンドと使用します。

表 33.  コレクション・コマンド
コマンド 返されるコレクション
all_clocks デザイン内のすべてのクロック
all_inputs デザイン内のすべての入力ポート
all_outputs デザイン内のすべての出力ポート
all_registers デザイン内のすべてのレジスター
get_cells デザイン内のセル。コレクション内のすべてのセル名は、指定されているパターンと一致します。ワイルドカードを使用して、複数のセルを同時に選択することができます。
get_clocks デザイン内のクロックをリストします。他のコマンドの引数として使用する場合 (set_multicycle_path-from または -to など)、クロック内の各ノードは、コレクションのクロックをクロックとして使用するすべてのノードを表します。デフォルトでは、特定のノードをコマンドのターゲットとして使用します (ノードがクロックであっても)。-of_objects オプションは、レジスターのようなノードを取り、それを駆動するクロックを返します。
get_nets デザイン内のネット。コレクション内のすべてのネット名は、指定されているパターンと一致します。ワイルドカードを使用して、複数のネットを同時に選択することができます。
get_pins デザイン内のピン。コレクション内のすべてのピン名は、指定されているパターンと一致します。ワイルドカードを使用して、複数のピンを同時に選択することができます。
get_ports デザイン内のすべてのポート (デザインの入力および出力)
get_registers デザイン内の指定されたレジスターを取得します。
get_keepers デザイン内の指定されたキーパーを取得します。キーパーは、I/O ポートまたはレジスターです。

ワイルドカードをタイミング・アナライザーで使用し、コレクションを調べたり、コレクションで検証したりすることができます。その場合は、View メニューの Name Finder をクリックします。