インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.8.2. フォルスパス (set_false_path)

Set False Path (set_false_path) 制約を使用すると、パス (テストロジックや、回路の動作に関係のないパスなど) をタイミング解析から除外することができます。そのパスの送信元要素 (-from)、共通のスルー要素 (-thru)、および送信先要素 (-to) を指定することができます。

次の SDC コマンドでは、A で始まるすべてのレジスターから B で始まるすべてのレジスターへのフォルスパス例外を作成します。

set_false_path -from [get_pins A*] -to [get_pins B*]

ポイントツーポイント・パスまたはクロックツークロック・パスのいずれかをフォルスパスとして指定することができます。フォルスパスの -from および -to のターゲットは、ノードまたはクロックのいずれかになります。ただし、-thru のターゲットにできるのは組み合わせノードだけです。例えば、電源投入の際の初期化時に 1 回書き込みを行い、その後は状態が変化しないスタティック・コンフィグレーション・レジスターにフォルスパスを指定することができます。

スタティック・コンフィグレーション・レジスターからの信号の多くはクロックドメインを横断します。一部のデータはクロックドメイン間で転送される可能性があるため、クロック間パスへのフォルスパス例外を作成しない選択も考えられます。ただし、フォルスパス例外は、スタティック・コンフィグレーション・レジスターからすべてのエンドポイントで選択的に作成することができます。

タイミング・アナライザーは、特に指定しない限り、すべてのクロックは関連していると想定します。クロックグループを使用することにより、クロック間でより効率的にフォルスパス例外を作成し、除外する各クロック転送の間に複数の set_false_path 例外を記述しないようにします。