インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.5. クロックグループの作成 (set_clock_groups)

Set Clock Groups (set_clock_groups) 制約を使用すると、デザイン内の無関係のクロックを指定することができます。

set_clock_groups コマンドでは、異なるグループにある無関係なクロック間のタイミングを切断することが可能です。タイミング・アナライザーは、-exclusive または -asynchronous グループのどちらを指定している場合でも、同じ解析を行います。クロックグループは、-group オプションで定義します。タイミング・アナライザーは、異なる各グループのクロック間のタイミングパスを除外します。

次の表は、set_clock_groups の影響を示しています。

表 23.  set_clock_groups -group A
送信先\送信元 A B C D
A 解析 切断 切断 切断
B 切断 解析 解析 解析
C 切断 解析 解析 解析
D 切断 解析 解析 解析
表 24.  set_clock_groups -group {A B}
送信先\送信元 A B C D
A 解析 解析 切断 切断
B 解析 解析 切断 切断
C 切断 切断 解析 解析
D 切断 切断 解析 解析
表 25.  set_clock_groups -group A -group B
送信先\送信元 A B C D
A 解析 切断 解析 解析
B 切断 解析 解析 解析
C 解析 解析 解析 解析
D 解析 解析 解析 解析
表 26.  set_clock_groups -group {A C} -group {B D}
送信先\送信元 A B C D
A 解析 切断 解析 切断
B 切断 解析 切断 解析
C 解析 切断 解析 切断
D 切断 解析 切断 解析
表 27.  set_clock_groups -group {A C D}
送信先\送信元 A B C D
A 解析 切断 解析 解析
B 切断 解析 切断 切断
C 解析 切断 解析 解析
D 解析 切断 解析 解析