インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.1. 推奨される初期の SDC 制約

次の基本的な SDC 制約を初期の .sdc ファイルに含めます。
次の例では、推奨される初期の SDC 制約をシンプル・デュアルクロック・デザインに適用しています。
create_clock -period 20.00 -name adc_clk [get_ports adc_clk]
create_clock -period 8.00 -name sys_clk [get_ports sys_clk]

derive_pll_clocks

derive_clock_uncertainty
注: インテル® Arria® 10 および インテル® Cyclone® 10 GX デバイスのみが Derive PLL Clocks (derive_pll_clocks) 制約をサポートします。サポートされている他のデバイスではすべて、タイミング・アナライザーは関連する IP に結び付けられている制約から PLL クロックを自動的に導出します。