インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.3.1. クロック分周器の例 (-divide_by)

生成されるクロックの一般的な形式は、2 分周のレジスタークロック分周器です。次の制約例は、2 分周レジスターでハーフレート・クロックを作成するものです。
create_clock -period 10ns -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_ports clk_sys] [get_pins reg|q]

次のように、レジスターのクロックピンをクロックソースとして指定します。

create_clock -period 10ns -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_pins reg|clk] [get_pins reg|q]
図 99. クロック分周器
図 100. クロック分周器の波形