インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.4.2. エンティティーに結び付けられた制約の例

次に、エンティティーに結び付けられた制約の自動スコープと手動スコープの例を示します。
図 95. 自動スコープ例

次の表は、自動スコープ例に適用される制約の自動スコープを示しています。

表 21.  自動制約スコープの例
制約例 インスタンス X|Y の自動スコープ制約の解釈
set_false_path -from [get_keepers a] set_false_path -from [get_keepers X|Y|a]
set_false_path -from [get_registers a] -to “*” set_false_path -from [get_registers X|Y|a]
set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2]
set_max_delay –from [get_ports in] -to [get_registers A] 2.0 set_max_delay –from [get_ports in] -to [get_registers X|Y|A] 2.0
get_ports *

get_ports *

get_clocks *

get_clocks *

get_ports a

get_ports a

get_clocks a

get_clocks a

注: 自動スコープ例の表の get_ports a および get_clocks a は、コレクション・フィルターに任意の名前を使用している例になります。これらの例は、get_ports および get_clocks のコレクション・フィルターが自動制約スコープの対象にならないことを示しています。ポートとクロックはグローバルなトップレベル・オブジェクトであり、それらがインスタンスのスコープに入ることはありません。
図 96. 手動スコープ例

次の表は、手動スコープ例に適用される制約の手動スコープを示しています。

表 22.  手動スコープ制約の例
制約例 手動スコープ制約の解釈
set_false_path –from [get_current_instance]|d\
     –to [get_current_instance]|e
set_false_path –from i1|inner|d –to i1|inner|e
set_false_path –from i2|inner|d –to i2|inner|e
set_false_path –from i3|d –to i3|e
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name \
     [get_current_instance]_divclk \
     [get_current_instance]|div 
set_multicycle_path –from [get_current_instance]|a\
     –to [get_current_instance]|b 2
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name “i1_divclk” i1|div
set_multicycle_path –from i1|a –to i1|b 2 \
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name “i2_divclk” i2|div
set_multicycle_path –from i2|a –to i2|b 2