インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.3. ステップ 2: タイミング制約の指定

タイミング制約を指定する必要があります。制約によりデザインのクロック周波数要件、タイミング例外、および I/O タイミング要件を記述し、タイミング解析時の実際の条件と比較します。タイミング制約は、プロジェクトに追加する 1 つまたは複数の Synopsys* Design Constraints (.sdc) ファイルで定義します。

.sdc ファイルに慣れていない場合は、タイミング・アナライザーの GUI、もしくは提供されている .sdc ファイル・テンプレートを使用して、最初の .sdc ファイルを作成することができます。タイミング解析を十分に理解している場合は、任意のテキストエディターで .sdc ファイルを作成し、そのファイルをプロジェクトに追加することも可能です。

  1. 次の任意の組み合わせを使用して、.sdc ファイルにデザインのタイミング制約を入力します。
    • タイミング・アナライザーの GUI で制約を入力します。Tools > Timing Analyzer をクリックします。Tasks ペインの Update Timing Netlist をクリックし、Constraints メニューから制約を入力します。GUI には、適用する該当 SDC コマンドが表示されます。
    • 独自の .sdc ファイルを作成します。最初に、推奨される初期の SDC 制約 を追加し、その後 .sdc 制約を繰り返し変更してタイミング結果を再解析することができます。クロックに依存する制約を入力する前に、クロック制約を作成する必要があります。
    図 38. Create Clock ダイアログによるクロック制約の定義


  2. .sdc ファイルを保存します。タイミング・アナライザーの GUI で制約を入力している場合は、Constraints > Write SDC File をクリックし、GUI で入力した制約を .sdc ファイルに保存します。
  3. .sdc ファイルをプロジェクトに追加します。詳細は、ステップ 1: タイミング・アナライザーの設定を指定 で説明されています。