インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.2.2. I/O インターフェイスのクロック不確実性の例

I/O インターフェイスの不確実性を指定するには、仮想クロックを作成し、その仮想クロックを参照する set_input_delay および set_output_delay コマンドで入力ポートと出力ポートを制約する必要があります。

set_input_delay または set_output_delay コマンドがクロックポートまたは PLL 出力を参照している場合、仮想クロックでは、derive_clock_uncertainty コマンドで内部クロック転送と I/O インターフェイス・クロック転送に個別のクロック不確実性を適用することが可能です。

次の例で示すように、I/O ポートを駆動している元のクロックと同じプロパティーで仮想クロックを作成します。

I/O インターフェイスを制約する SDC コマンド

# Create the base clock for the clock port
create_clock -period 10 -name clk_in [get_ports clk_in]
# Create a virtual clock with the same properties of the base clock
# driving the source register
create_clock -period 10 -name virt_clk_in
# Create the input delay referencing the virtual clock and not the base
# clock
# DO NOT use set_input_delay -clock clk_in <delay value>
# [get_ports data_in]
set_input_delay -clock virt_clk_in <delay value> [get_ports data_in]