インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.1.2. PLL クロックの導出 (derive_pll_clocks)

Derive PLL Clocks (derive_pll_clocks) 制約は、デザイン内の任意の PLL の各出力に向けて自動的にクロックを作成します。

注: インテル® Arria® 10 および インテル® Cyclone® 10 GX デバイスのみが Derive PLL Clocks (derive_pll_clocks) 制約をサポートします。サポートされている他のデバイスではすべて、タイミング・アナライザーは関連する IP に結び付けられている制約から PLL クロックを自動的に導出します。

PLL がクロックのスイッチオーバーを使用している場合は、この制約で各出力クロックピンに向けた複数のクロックを作成することができます (inclk[0] 入力クロックピンに 1 つのクロック、inclk[1] 入力クロックピンに1つのクロック)。Create base clocks (create_base_clocks) オプションを指定すると、デフォルトで、PLL の入力でベースクロックが作成されます。デフォルトでは、クロック名は出力クロックピン名と同じです。Use net name as clock name (use_net_name) オプションを指定すると、ネット名が使用されます。

PLL を作成する際は、各 PLL 出力のコンフィグレーションを定義する必要があります。この定義により、タイミング・アナライザーは、derive_pll_clocks コマンドで PLL を自動的に制約できるようになります。また、このコマンドはトランシーバー・クロックを制約し、LVDS SERDES とユーザーロジックの間に複数のサイクルを追加します。

derive_pll_clocks コマンドは、情報メッセージを出力し、コマンドで作成される各生成クロックを表示します。

derive_pll_clocks の代わりに、各 create_generated_clock 割り当てを .sdc ファイルにコピーアンドペーストすることができます。ただし、後で PLL の設定を変更する場合は、.sdc ファイルで生成クロックの制約も変更する必要があります。このタイプの変更の例には、既存の出力クロックの変更、新しい PLL 出力の追加、または PLL の階層の変更などがあります。derive_pll_clocks を使用すると、この要件は不要になります。