インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.5.1.7. クロック間転送レポート

タイミング・アナライザーの Reports > Clock Domain Crossings > Report Clock Transfers コマンドでは、デザイン内のすべてのクロック間転送が報告されます。同等のスクリプトコマンドは report_clock_transfers です。

Report Clock Transfers では、Setup Transfers レポートおよび Hold Transfers レポートが生成されます。これには、クロック間転送に関するデータが表示されます。

図 53. Setup Transfers レポートで示されるクロック間転送

Setup Transfers レポートと Hold Transfers レポートには、起こりうるすべての転送が示されます。これには、立ち上がりクロックエッジから立ち上がりクロックエッジ (RR)、立ち下がりクロックエッジから立ち上がりクロックエッジ (FR)、立ち上がりクロックエッジから立ち下がりクロックエッジ (RF)、および立ち下がりクロックエッジから立ち下がりクロックエッジ (FF) のパスが含まれます。

  • デザインにパスが存在する場合、レポートの列にあるセルは白くなり、パスの数が表示されます。
  • パスがフォルスパスの場合、レポートの列にあるセルは薄いグレーになり、「false path」というテキストが表示されます。
  • デザインにパスが存在しない場合、レポートの列にあるセルは濃いグレーになります。

Setup Transfers レポートと Hold Transfers レポートには、セットアップのワーストケース・スラック、ワーストケースの動作条件、および各クロックパスのクロックペアの分類も表示されます。クロックペアの分類には次のものが含まれます。

表 9.  クロックペアの分類
クロックペアの分類 定義
Intra-Clock (Timed Safe)
  • From Clock と To Clock が同じです。
  • タイミング制約は必要ありません。
Inter-Clock Synchronous (Timed Safe)
  • From Clock と To Clock は同期の関係で、既知の位相と周波数の関係があります。
  • マルチサイクル・パスの制約が存在する場合と存在しない場合があります。
Asynchronous (Timed Unsafe)
  • From Clock と To Clock は非同期です。
  • タイミング制約 (フォルスパス、クロックグループ、set_max_skew) は存在しません。
Ignored (Not Timed)
  • From Clock と To Clock は非同期です。
  • タイミング制約 (フォルスパス、クロックグループ、set_max_skew) が存在します。セットアップおよびホールドのスラックは適用されません。