インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.1.1. クロックの自動検出と制約の作成

derive_clocks コマンドを使用して、デザインにベースクロックを自動的に作成します。 derive_clocks コマンドは、レジスターのクロックピンに供給する各レジスターまたはポートに create_clock コマンドを使用することと同等です。derive_clocks コマンドは、ポートまたはレジスターにクロック制約を作成することで、デザインのすべてのレジスターにクロック制約があることを保証します。また、デザイン内のすべてのベースクロックに 1 周期を適用します。

次のコマンドは、100MHz の要件をもつベースクロックを制約のないベース・クロック・ノードに指定するものです。

derive_clocks -period 10
注意:
デザインに複数のクロックがある場合、derive_clocks コマンドは、すべてのクロックを同じ指定周波数で制約します。デザインのタイミング要件を現実的に解析するには、最終的なタイミングのサインオフに derive_clocks コマンドを使用しないでください。代わりに、create_clock および create_generated_clock コマンドを使用して、デザイン内のすべてのクロックに個別のクロック制約を作成します。

ベースクロックを自動的に作成する場合は、-create_base_clocks オプションを derive_pll_clocks に使用します。このオプションを使用すると、derive_pll_clocks コマンドでは、PLL 生成時に指定する入力周波数情報に基づき各 PLL のベースクロックが自動的に作成されます。この機能は、単純なポートから PLL への接続で機能します。ベースクロックは、複雑な PLL 接続 (カスケードされた PLL など) には自動的に生成されません。コマンド derive_pll_clocks -create_base_clocks を使用して、すべての PLL 入力に入力クロックを自動的に作成することもできます。