インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.1.1. クロックの作成 (create_clock)

Create Clock (create_clock) 制約を使用すると、デザインのクロックのプロパティーと要件を定義することができます。クロック制約を定義して、デザインのパフォーマンスを決定し、FPGA に入る外部クロックを制約する必要があります。制約は、タイミング・アナライザー GUI に入力する、もしくは .sdc ファイルに直接入力することができます。

Clock name (-name)、クロックの Period (-period)、立ち上がりおよび立ち下がり Waveform edge の値 (-waveform)、および制約の適用ターゲットとなる信号 (単数または複数) を指定します。

次のコマンドでは、8ns 周期の sys_clk クロックを作成し、そのクロックを fpga_clk ポートに適用します。

create_clock -name sys_clk -period 8.0 \
     [get_ports fpga_clk]
注: Tcl および .sdc ファイルでは大文字と小文字が区別されます。ピン、ポート、またはノードへのリファレンスが、デザインの名前の大文字と小文字に一致するようにします。

デフォルトでは、sys_clk クロック例には、0ns の時点で立ち上がりエッジ、50% のデューティー・サイクル、4ns の時点で立ち下がりエッジがあります。異なるデューティー・サイクルが必要な場合、またはオフセットを表す場合は、-waveform オプションを指定します。

通常、クロックには割り当てたポートと同じ名前を付けます。上の例では、次の制約でこれを実現します。

create_clock -name fpga_clk -period 8.0 [get_ports fpga_clk]

これで、fpga_clk と呼ばれる一意のオブジェクトが 2 つ (デザインのポートと、そのポートに適用されるクロック) になります。

注:

Tcl 構文では、角括弧はその中のコマンドを実行します。[get_ports fpga_clk] で実行されるコマンドは、デザイン内で fpga_clk に一致する一連のポートをすべて検索して返します。

警告: タイミング・アナライザーで定義する制約は、タイミング・データベースに直接適用されますが、.sdc ファイルには自動的に転送されません。タイミング・アナライザーの Tasks ペインにある Write SDC File をクリックし、制約の変更を GUI から .sdc ファイルに保存します。