インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.5.3.2. クロック・マルチプレクサーの例

クロック・マルチプレクサー (mux) の出力は、生成クロックの形式の 1 つです。 各入力クロックには、出力に 1 つの生成クロックが必要です。次の .sdc 例には set_clock_groups コマンドも含まれており、2 つの生成クロックがデザインで同時にアクティブにならないことを示しています。したがって、タイミング・アナライザーは、クロック・マルチプレクサーの出力で生成クロック間のクロスドメイン・パスを解析しません。
図 101. クロック・マルチプレクサー
create_clock -name clock_a -period 10 [get_ports clk_a]
create_clock -name clock_b -period 10 [get_ports clk_b]
create_generated_clock -name clock_a_mux -source [get_ports clk_a] \
	[get_pins clk_mux|mux_out]
create_generated_clock -name clock_b_mux -source [get_ports clk_b] \
	[get_pins clk_mux|mux_out] -add
set_clock_groups -logically_exclusive -group clock_a_mux -group clock_b_mux