インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6. タイミング制約の適用

次のセクションでは、SDC タイミング制約の正しい適用について説明します。これは、デザインの合成およびフィッター配置のガイドとなり、正確なタイミング解析を可能にするものです。推奨される初期制約のセットで .sdc ファイルを作成し、その後、デザインを進めるなかでこれらの制約を繰り返し変更することができます。