インテル® Quartus® Primeプロ・エディションのユーザーガイド: タイミング・アナライザー

ID 683243
日付 1/31/2023
Public
ドキュメント目次

2.6.4.1. エンティティーに結び付けられた制約のスコープ

エンティティーに結び付けられた .sdc ファイルでは、プロジェクトに自動スコープまたは手動スコープを含めることができます。スコープは、制約が適用される範囲を決定します。 デフォルトでは、自動スコープが適用されます。
表 20.  エンティティーに結び付けられた制約のスコープ
制約のスコープタイプ 制約の適用 インスタンスに結び付けられたスコープを有効にする方法
自動 最上位ポート (get_ports) およびクロック名 (get_clocks) を除く、プロジェクト全体の割り当てられたエンティティーのすべてのインスタンス。 SDC_ENTITY_FILE のデフォルトモード。手順を追加して行う必要はありません。
手動 グローバルスコープをもつ最上位ポートとクロック名を除く、割り当てられたエンティティーの現在のインスタンス。

コレクション・フィルターもグローバルスコープをもちます。ただし、get_current_instance を先頭に追加すると、インスタンス・スコープが設定されます。

コレクション・フィルターの先頭に get_current_instance を追加します。

次の制約例では、get_current_instance を使用して、現在のエンティティーへの階層パスを返す方法を示しています。これは、手動制約スコープに使用します。

set_false_path –from [get_registers "reg_a"] –to \
     [get_pins “[get_current_instance]|*reset”]
注: -from * または -to * オプションを使用する際に get_ コマンドのいずれか (get_keepers など) を使用しない場合は、これらのフィルターで制約スコープは発生しません (つまり、* の from/to コレクション・フィルターでスコープは行われません)。ただし、同じ SDC コマンドの他のコレクション・フィルターでは、スコープは引き続き発生します。