F タイルのアーキテクチャーと PMA および FEC Direct PHY IP のユーザーガイド

ID 683872
日付 6/26/2023
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

5.2. F-Tile PMA/FEC Direct PHY Intel® FPGA IP のインスタンス化

F-Tile PMA/FEC Direct PHY Intel® FPGA IP をインスタンス化するには、次の手順に従います。
  1. ターゲットのデバイスファミリーを指定します。Assignments > Device をクリックし、Agilex AGIB027R29A2E2V を選択します。
  2. IP Catalog が開いていない場合は、 インテル® Quartus® Prime 開発ソフトウェアで View > IP Catalog をクリックします。
  3. IP Catalog の検索フィールドに f-tile pma と入力し、 F-Tile PMA/FEC Direct PHY Intel® FPGA IP をダブルクリックします。
    図 94. IP Catalog の F-Tile PMA/FEC Direct PHY Intel® FPGA IP
  4. パラメーター・エディターでオプションの値を指定し、プロトコルの実装に向けて F-Tile PMA/FEC Direct PHY Intel® FPGA IP をコンフィグレーションします。
    オプションで、一連の Presets から FGT_NRZ_50G_2_PMA_Lanes_Custom_Cadence_ED を指定し、これらのデフォルトのパラメーター値を適用することができます。パラメーター化の際は、PMA Direct チャネルをインスタンス化します。利用可能なパラメーター・エディターのオプションは、チャネル要件を反映しています。
  5. パラメーター化が完了したら、パラメーター・エディターの Generate HDL ボタンをクリックし、IP インスタンスとサポートファイルを生成します。Simulation では、Create simulation modelVerilog、および VCS* または ModelSim* を選択します。43
    図 95. シミュレーションのオプション
  6. Generate ボタンをクリックします。IP バリエーションの RTL とサポートファイルが仕様に基づき生成され、 インテル® Quartus® Prime プロジェクトに追加されます。

    IP インスタンスで生成されるトップレベル・ファイルには、そのコンフィグレーションで利用可能なすべてのポートが含まれています。これらのポートを使用して、F-Tile PMA/FEC Direct PHY Intel® FPGA IP をデザインの他の IP コアに接続します。詳細は、F タイル PMA/FEC Direct PHY デザインの IP の接続 で説明しています。

43 現在の インテル® Quartus® Prime 開発ソフトウェアのバージョンでは、F タイルのシミュレーションに VCS* または ModelSim* のみをサポートします。