F タイルのアーキテクチャーと PMA および FEC Direct PHY IP のユーザーガイド

ID 683872
日付 6/26/2023
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

3.3. IP のコンフィグレーション

インテル® Quartus® Prime プロ・エディション・ソフトウェアの F-Tile PMA/FEC Direct PHY Intel® FPGA IP を使用し、プロトコルの実装に向けて PMA PHY をコンフィグレーションします。

IP をインスタンス化するには、次の手順に従います。

  1. ターゲットのデバイスファミリーを指定するには、Assignments > Device をクリックし、 Intel Agilex® 7 F タイルデバイス (AGIB027R31B1E2V など) を選択します。
  2. Tools > IP Catalog をクリックし、検索フィールドに pma と入力します。その後、 F-Tile PMA/FEC Direct PHY Intel® FPGA IP (Interface Protocol 内) を選択します。IP のパラメーター・エディターが開きます。
  3. パラメーター・エディターで、パラメーターを指定して F-Tile PMA/FEC Direct PHY Intel® FPGA IP をプロトコルの実装に対してカスタマイズします。次の PMA 使用モードのいずれかを選択します。パラメーター・エディターでは、パラメーター値の選択のガイドが提供されます。
    • FGT および FHT の PMA Direct モード
    • FGT および FHT の FEC Direct モード
  4. パラメーター化が完了したら、Generate ボタンをクリックし、Generate HDL ボタンをクリックします。IP バリエーションの RTL とサポートファイルが仕様に基づき生成され、 インテル® Quartus® Prime プロジェクトに追加されます。

IP のインスタンスで生成される最上位ファイルには、そのコンフィグレーションで利用可能なすべてのポートが含まれます。これらのポートを使用して、F-Tile PMA/FEC Direct PHY Intel® FPGA IP をデザインの他の IP コア (F-Tile Reference and System PLL Clocks Intel® FPGA IP、TX および RX のシリアル・データ・ピン、データ・ジェネレーターおよびデータチェッカー IP など) に接続します。

このリリースでは、F-Tile PMA/FEC Direct PHY Intel® FPGA IP は次のシミュレーターのみをサポートします。

  • VCS*
  • ModelSim* SE
  • QuestaSim*
  • Xcelium*