インテル® Quartus® Prime プロ・エディションのユーザーガイド: デザインの推奨事項

ID 683082
日付 8/03/2023
Public
ドキュメント目次

1.4. HDLコードからのメモリー機能の推論

次のコーディングに関する推奨事項では、専用のインテル FPGAメモリーIPコアを対象とした移植可能な汎用HDLコードの例を示しています。 ただし、インテル FPGAデバイスの高度なメモリー機能の一部を使用する場合は、IPコアを直接使用し、ポートとパラメーターを簡単にカスタマイズできるようにすることを検討します。

インテル® Quartus® Prime開発ソフトウェアで提供される インテル® Quartus® Primeテンプレートを開始点として使用することもできます。

表 1.   インテルが提供するメモリーHDL言語テンプレート

言語

デザイン名

VHDL

Single-Port RAM (シングルポートRAM)

Single-Port RAM with Initial Contents (シングルポートRAMと初期コンテンツ)

Simple Dual-Port RAM (single clock) (シンプル・デュアルポートRAM、シングルクロック)

Simple Dual-Port RAM (dual clock) (シンプル・デュアルポートRAM、デュアルクロック)

True Dual-Port RAM (single clock) (トゥルー・デュアルポートRAM、シングルクロック)

True Dual-Port RAM (dual clock) (トゥルー・デュアルポートRAM、デュアルクロック)

Mixed-Width RAM (混合幅RAM)

Mixed-Width True Dual-Port RAM (混合幅トゥルー・デュアルポートRAM)

Byte-Enabled Simple Dual-Port RAM (バイト・イネーブル付きシンプル・デュアルポートRAM)

Byte-Enabled True Dual-Port RAM (バイト・イネーブル付きトゥルー・デュアルポートRAM)

Single-Port ROM (シングルポートROM)

Dual-Port ROM (デュアルポートROM)

Verilog HDL

Single-Port RAM (シングルポートRAM)

Single-Port RAM with Initial Contents (シングルポートRAMと初期コンテンツ)

Simple Dual-Port RAM (single clock) (シンプル・デュアルポートRAM、シングルクロック)

Simple Dual-Port RAM (dual clock) (シンプル・デュアルポートRAM、デュアルクロック)

True Dual-Port RAM (single clock) (トゥルー・デュアルポートRAM、シングルクロック)

True Dual-Port RAM (dual clock) (トゥルー・デュアルポートRAM、デュアルクロック)

Single-Port ROM (シングルポートROM)

Dual-Port ROM (デュアルポートROM)

SystemVerilog

Mixed-Width Port RAM (混合幅ポートRAM)

Mixed-Width True Dual-Port RAM (混合幅トゥルー・デュアルポートRAM)

Mixed-Width True Dual-Port RAM (new data on same port read during write) (混合幅トゥルー・デュアルポートRAM、書き込み時に同じポートで新しいデータの読み出し)

Byte-Enabled Simple Dual Port RAM (バイト・イネーブル付きシンプル・デュアルポートRAM)

Byte-Enabled True Dual-Port RAM (バイト・イネーブル付きトゥルー・デュアルポートRAM)