インテル® Quartus® Prime プロ・エディションのユーザーガイド: デザインの推奨事項

ID 683082
日付 8/03/2023
Public
ドキュメント目次

1.4.3.1. シンプルなシフトレジスター

このセクションの例では、シングルビット幅、69ビット長の単純なシフトレジスターを示します。

インテル® Quartus® Primeの合成でレジスター (W = 1M = 69) を実装します。その際はShift Register Intel® FPGA IPを使用し、それをデバイス内のRAMにマッピングします (専用のRAMブロックまたはMLABメモリーに配置することが可能)。レジスターの長さが69ビット未満の場合、 インテル® Quartus® Primeの合成ではシフトレジスターをロジックで実装します。

Verilog HDLシングルビット幅、69ビット長のシフトレジスター

module shift_1x69 (clk, shift, sr_in, sr_out);
	input clk, shift;
	input sr_in;
	output sr_out;

	reg [68:0] sr;

	always @ (posedge clk)
	begin
		if (shift == 1'b1)
		begin
			sr[68:1] <= sr[67:0];
			sr[0] <= sr_in;
		end
	end
	assign sr_out = sr[68];
endmodule

VHDLシングルビット幅、69ビット長のシフトレジスター

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
ENTITY shift_1x69 IS
	PORT (
		clk: IN STD_LOGIC;
		shift: IN STD_LOGIC;
		sr_in: IN STD_LOGIC;
		sr_out: OUT STD_LOGIC
	);
END shift_1x69;

ARCHITECTURE arch OF shift_1x69 IS
	TYPE sr_length IS ARRAY (68 DOWNTO 0) OF STD_LOGIC;
	SIGNAL sr: sr_length;
BEGIN
	PROCESS (clk)
		BEGIN
		IF (rising_edge(clk)) THEN
			IF (shift = '1') THEN
			sr(68 DOWNTO 1) <= sr(67 DOWNTO 0);
			sr(0) <= sr_in;
			END IF;
		END IF;
	END PROCESS;
	sr_out <= sr(68);
END arch;