インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.6.5. ステップ5 : ペルソナの定義

パーシャル・リコンフィグレーション・デザインでは、複数のPRパーティションを持つことができ、各パーティションには複数のペルソナがあります。各ペルソナの固有の機能は、プロジェクト・ディレクトリー内の個別のVerilog HDL、SystemVerilog HDL、またはVHDLデザインファイルで定義します。すべてのPRペルソナは、スタティック領域と相互作用するために、同一の信号セットを使用する必要があります。

スタティック領域と相互作用する信号が、すべてのペルソナ内のすべての信号のスーパーセットであることを確認します。PRデザインでは、PR領域内の各ペルソナに対して同一のI/Oインターフェイスが必要です。デザインのすべてのペルソナに同一のインターフェイスがない場合は、スタティック領域とインターフェイス接続するラッパーロジックの作成も必要です。

注: Intel Quartus Prime Text Editorを使用している場合は、ファイルの保存時にAdd file to current projectをディスエーブルします。このペルソナ・ソース・ファイルは、 インテル® Quartus® Primeプロジェクトまたはコンパイルの一部ではありません。