インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.3.7. PR制御ブロックおよびCRCブロックVerilog HDLの手動インスタンス化

Partial Reconfiguration Controller Intel Arria 10/Cyclone 10 IPにはPR制御ブロックが含まれています。ただし、独自のカスタムロジックを作成してIPコアの機能を実行する場合は、制御ブロックを手動でインスタンス化し、FPGAシステムと通信します。

次の例では、最上位の インテル® Arria® 10 PRプロジェクト内のPR制御ブロックである Chip_Top をVerilog HDLでインスタンス化します。

Chip_Top: module Chip_Top ( //User I/O signals (excluding PR related signals) .. .. //PR interface and configuration signals declaration wire pr_request; wire pr_ready; wire pr_done; wire crc_error; wire dclk; wire [31:0] pr_data; twentynm_prblock m_pr ( .clk (dclk), .corectl (1'b1), .prrequest(pr_request), .data (pr_data), .error (pr_error), .ready (pr_ready), .done (pr_done) ); twentynm_crcblock m_crc ( .clk (clk), .shiftnld (1'b1), .crcerror (crc_error) ); endmodule 

Error Message Register (EMR) を読み出すためのポート接続の詳細に関しては、AN539: Test Methodology of Error Detection and Recovery using CRCを参照してください。