インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.3.8.1. PR制御ブロックおよびCRCブロックのVHDLコンポーネント宣言

次の例で示すのは、 インテル® Arria® 10 PRデザインのPR制御ブロックおよびCRCブロックの手動インスタンス化です。
  1. 次のサンプルコードを使用します。このコードには、VHDLのコンポーネント宣言が含まれています。このコードによって、コア内 (Core_Top 内のコードブロック) からのPR機能を実行します。
    module Chip_Top is port ( --User I/O signals (excluding signals that relate to PR) .. .. ) -- Following shows the connectivity within the Chip_Top module Core_Top : Core_Top port_map ( .. .. ); m_pr : twentynm_prblock port map( clk => dclk, corectl =>'1', --1 - when using PR from inside --0 - for PR from pins; You must also enable -- the appropriate option in Quartus Prime settings prrequest => pr_request, data => pr_data, error => pr_error, ready => pr_ready, done => pr_done ); m_crc : twentynm_crcblock port map( shiftnld => '1', --If you want to read the EMR register when clk => dummy_clk, --error occurrs, refer to AN539 for the --connectivity forthis signal. If you only want --to detect CRC errors, but plan to take no --further action, you can tie the shiftnld --signal to logical high. crcerror => crc_error );
    注: このVHDLの例は、Verilog HDLのインスタンス化に対応しています。
  2. ポートを Core_Top に追加し、両方のコンポーネントに接続します。
  3. PR制御ブロックをデザインの残りの部分に接続する場合は、次の規則に従います。
    • corectl 信号の設定は、コアからのパーシャル・リコンフィグレーションを使用する場合は「1」 にし、ピンからのパーシャル・リコンフィグレーションを使用する場合は「0」にします。
    • corectl は、Enable PR pinsオプション設定と一致させます。Device and Pin Optionsダイアログボックス (Assignments > Device > Device and Pin Options) で設定します。
    • パーシャル・リコンフィグレーションをピンから行う場合は、Fitterでは、PRの未割り当てピンを自動的に割り当てます。すべての専用PRピンのアサインメントをPin Planner (Assignments > Pin Planner) またはAssignment Editor (Assignments > Assignment Editor) を使用して行います。
    • パーシャル・リコンフィグレーションをコアロジックから行う場合は、prblock 信号をコアロジックまたはI/Oピン (DCLK などの専用プログラミングピンを除く) に接続します。