インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.14.2. PRデザインのバージョン互換コンパイル・データベースの生成

次の手順に従って、PRデザインのバージョン互換コンパイル・データベースを生成します。
  1. コンパイル済みのデザイン全体を インテル® Quartus® Prime開発ソフトウェアのバージョン N からエクスポートします。Project > Export Designをクリックするか、次のコマンドラインを入力します。
    quartus_cdb <project> -c <base_revision> --export_design --snapshot final \ --file <base_revision>.qdb
  2. コンパイル済みのデザインを インテル® Quartus® Prime開発ソフトウェアのバージョン N+M にインポートします。Project > Import Designをクリックするか、次のコマンドラインを入力します。
    quartus_cdb <project> -c <base_revision_import> --import_design --file \ <base_revision>.qdb
    注: 可能な場合は、デザインのインポート先は、ベースデザインのコンパイルに使用する作業ディレクトリーとは別のディレクトリーにします。同じディレクトリーをインポートとコンパイル、およびベースデザインに使用しなければならない場合は、そのデザインを qdb/* を含めてアーカイブして、コンパイル済みデザインのバックアップ・コピーを作成します。 または、ディスク上のディレクトリーとサブディレクトリーをすべてコピーします。古いデータベース・ディレクトリー qdb/* およびすべてのビットストリーム関連ファイル (*.sof *.msf *.pmsf) も削除する必要があります。
  3. Fitterのファイナライズ・ステージを インテル® Quartus® Primeプロ・エディション開発ソフトウェアのバージョン N+M で再実行します。Processing > Start > Start Fitter (Finalize)をクリックするか、次のコマンドラインを入力します。
    quartus_fit <project> -c <base_revision_import> --finalize
  4. Assemblerを インテル® Quartus® Primeプロ・エディション開発ソフトウェアのバージョン N+M で実行し、ブルー・ビットストリームを再生成するには、Processing > Start > Start Assemblerをクリックするか、次のコマンドラインを入力します。
    quartus_asm <project> -c <base_revision_import>
  5. インテル® Quartus® Primeプロ・エディション開発ソフトウェアのバージョン N+M のスタティック領域 .qdb をエクスポートするには、Project > Export Design Partitionをクリックするか、次のコマンドラインを入力します。
    quartus_cdb <project> -c <base_revision_import> --export_block \ root_partition --snapshot final --file <static.qdb>
  6. 各実装リビジョンのコンパイルを インテル® Quartus® Primeプロ・エディション開発ソフトウェアのバージョン N+M で実行します。前のステップでエクスポートしたスタティック・リビジョン .qdb を使用します。
    quartus_sh –flow compile <project> –c <impl_rev>