インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

2.3.9. PR制御ブロック信号

次の表は、Partial Reconfiguration Controller Intel Arria 10/Cyclone 10 FPGA IPのインターフェイス信号の一覧です。
表 30.  PR制御ブロック・インターフェイス信号
信号 入力/出力 説明
pr_data [31:0] 入力

コンフィグレーション・ビットストリームを運びます。

pr_done 1 出力 PRプロセスが完了したことを示します。
pr_ready 1 出力 制御ブロックが制御ロジックからのPRデータを受け入れる準備ができていることを示します。
pr_error 1 出力 パーシャル・リコンフィグレーションのエラーを示します。
pr_request 1 入力 PRプロセスの開始準備ができていることを示します。
corectl 1 入力

パーシャル・リコンフィグレーションを内部で実行するか、ピンを介して行うかを決定します。

注:
  • コンフィグレーション幅は8、16、または32ビットを指定します。ただし、インターフェイスでは常に32ピンを使用します。
  • すべての入力および出力は、PR クロック (clk) に非同期です。data 信号は例外です。 data 信号は clk 信号と同期しています。
  • PRクロックはフリーランニングでなければなりません。
  • data 信号は、ready 信号の待機中は0でなければなりません。