インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.9.1.2. altera_pr_persona_if モジュール

altera_pr_persona_if SystemVerilogインターフェイスをPR領域のシミュレーション・ラッパーでインスタンス化し、それをすべてのラッパー・マルチプレクサに接続します。必要に応じて、pr_activate をPRシミュレーション・モデルに接続します。
インターフェイスの persona_select をすべての入力および出力マルチプレクサの sel ポートに接続します。pr_activate をすべての出力マルチプレクサの pr_activate に接続します。必要に応じて、レポートイベントをPRシミュレーション・モデルのレポート・イベント・ポートに接続します。これでPR領域ドライバーのテストベンチ・コンポーネントにより、インターフェイスを駆動することができます。
interface altera_pr_persona_if; logic pr_activate; int persona_select; event report_storage_if_x_event; event report_storage_if_1_event; event report_storage_if_0_event; event report_storage_event; initial begin pr_activate <= 1'b0; end endinterface : altera_pr_persona_if
<QUARTUS_INSTALL_DIR> /eda/sim_lib/altera_lnsim.sv ファイルでは、altera_pr_persona_if コンポーネントを定義します。