インテル® Quartus® Primeプロ・エディション ユーザーガイド: パーシャル・リコンフィグレーション

ID 683834
日付 5/11/2020
Public
ドキュメント目次

1.9.1.4. altera_pr_wrapper_mux_in モジュール

altera_pr_wrapper_mux_in モジュールでは、すべてのPRペルソナのPRパーティション・ラッパーに対する入力の多重化を解除することができます。
入力ポートごとに1つのマルチプレクサをインスタンス化します。マルチプレクサの sel ポートを使用して、アクティブなペルソナを指定します。コンポーネントをパラメーター化して、ペルソナ出力数、マルチプレクサ幅、およびディスエーブルされた出力のMUX出力を指定します。 altera_pr_wrapper_mux_in を使用してクロック入力を多重化する場合は、DISABLED_OUTPUT_VAL を0に設定して、ディスエーブルされたペルソナのシミュレーション・クロック・イベントがないことを確認します。
module altera_pr_wrapper_mux_in#( parameter NUM_PERSONA = 1, parameter WIDTH = 1, parameter [0:0] DISABLED_OUTPUT_VAL = 1'bx ) ( input int sel, input wire [WIDTH-1:0] mux_in, output reg [WIDTH-1 : 0] mux_out [NUM_PERSONA-1:0] ); always_comb begin for (int i = 0; i < NUM_PERSONA; i++) if (i == sel) mux_out[i] = mux_in; else mux_out[i] = {WIDTH{DISABLED_OUTPUT_VAL}}; end endmodule : altera_pr_wrapper_mux_in 
The <QUARTUS_INSTALL_DIR> /eda/sim_lib/altera_lnsim.sv ファイルでは、altera_pr_wrapper_mux_in コンポーネントを定義します。